??? 摘 要:首先介紹和分析了管道運輸防盜預警技術,在此基礎上給出了系統的設計目標和解決方案,并描述了系統的組成和各部分的主要功能;介紹了對偷盜信號信息的采集和處理的方法,利用AT指令控制TC35i模塊,從而實現SMS短消息收發的方法;又介紹了可編程控制部分的設計和終端PC通過GSM MODEM接收SMS的實現界面。該方案利用了可編程的典型應用、串口通信技術、TC35i、GSM MODEM、SMS等內容。
??? 關鍵詞:管道;防盜;可編程;TC35i;GSM MODEM;SMS;AT指令
?
??? 隨著國內石油制品需求的日益增長和國際市場石油價格的不斷攀升,不法分子盜竊國家油料而牟取暴利的現象時有發生。每年油田都有大量的油料被盜,造成國家財產的巨大損失;輸油管線被破壞后外漏的油料污染大片的農田,給人民的生活生產安全帶來嚴重的威脅。
??? 由于輸油管道所處的地域復雜多樣,加之犯罪分子的狡猾,常規的人防手段很難有效地遏制犯罪分子的破壞。因而及時、迅速發現管道泄漏并準確判定泄漏點成為管線平穩安全運行的重要保障。而目前的監控系統中,對于偏僻地區、遠距離、大范圍一類的越界報警,普遍面臨著監控范圍難以滿足要求、信號傳送和處理要求高、造價昂貴等諸多困難。如果在管道防盜預警系統中,構建一個短信平臺,在發生偷盜等事件后,將自動獲取事件發生的地點、事件的性質等,以短信的形式發送給總控制中心及相關人員,應該是實現預警的一種有效途徑。
1 基于GSM網絡的SMS傳輸原理
1.1? GSM系統概述
??? GSM是第二代無線數字蜂窩移動通信系統的網絡標準,是第一個數字移動通信系統。根據這一標準,世界上首次對蜂窩移動通信系統—GSM數字移動通信系統的數字調制方式、網絡層結構和業務內容作了規定。
1.2? GSM短信業務
??? 短信業務SMS(Short Message Service)是GSM數字移動通信系統提供給用戶的一種補充數字業務,它通過無線控制信道進行傳輸,允許GSM手機和基站在傳送正常語音業務時,經短信業務中心完成存儲和轉發功能,每個短信的信息量限制為140個8位組。在GSM系統中,短信業務是唯一不需要建立端到端路徑的業務,即使移動臺已處于電路通信狀態,短信傳輸仍然可以進行。
1.3? 短信編碼模式
??? 為了控制和實現短信服務,GSM協議中提供了3種短信的編碼模式,它們分別為BLOCK模式(二進制格式),TEXT模式(文本格式)和PDU模式(協議數據單元格式),其特點分別為:
??? (1)BLOCK模式比較復雜并且不直觀,不適合實際應用,現在使用比較少。
??? (2)TEXT模式是一種利用文本信息控制移動臺短信功能的接口協議,它主要用AT命令集完成對移動臺的操作,即設置
??? AT+CMGF=1
??? AT+CMGS=子站GSM號碼
??? >[TEXT DATA]
并且這些操作都是文本信息,比較直觀易懂,操作方便,因此現在主要使用它來操作移動臺。
??? (3)PDU模式也是采用AT命令集來控制移動臺的短信功能,但它有一個鮮明的特點,就是:在AT命令的數據段中直接采用協議數據單元(PDU),這樣就可用一條指令完成整個短信的處理。相比TXT模式,此模式支持不同的編碼格式。而當短信內容比較簡單(如ASCII碼)時,相比TXT模式,此模式的編碼方式就顯得繁瑣。
1.4? AT指令用法與常用的AT指令
??? 指令用法:在指令后面加“?”,會返回當前設置的信息。例如輸入AT+CASA?會返回當前的短信中心碼。
??? 在指令后面加“=?”,會返回可以設置選項的參數。例如輸入AT+CNMI=?會返回有關接收到短信息的相關設置的參數選項。
??? 主要用到的AT指令有:
??? (1)指令格式:AT
??? 返回信息:OK;
??? 說明:測試終端連接是否正確,如果正確返回OK。
??? (2)指令格式:AT+CMGL=
??? 返回信息:根據所選擇的模式返回不同狀態的短信息數據串;
??? 功能:列出所有指定狀態的短信數據。
???
??? 0——返回所有未讀短信,并且執行后所有未讀短信變成已讀;
??? 1——返回所有已讀短信;
??? 2——返回所有草稿;
??? 3——返回所有存儲的已發送的短信;
??? 4——返回所有短信。
??? (3)指令格式:AT+CMGR=
??? 返回信息:返回指定索引好的短信數據串;
??? 功能:獲得指定索引號的短信息數據串。
??? (4)指令格式:AT+CMGF=
??? 返回信息:OK;
??? 功能:設置短信息模式
??? (5)指令格式:AT+CSCA=
??? 返回信息:+CSCA:“
??? 功能:設置短信息中心號碼為
??? (6)指令格式:AT+CMGD=
??? 返回信息:OK;
??? 功能:刪除指定索引號的短信息。
??? (7)指令格式:AT+CMGS
??? 功能:發送短信息。
??? 在TEXT模式下:使用方法為輸入AT+CMGS=<對方電話號碼>+回車。然后提示“>”時輸入要發送的內容(只能是英文、數字和符號,即ASCII必須在0~127之內),然后按Ctrl+Z發送。如果發送成功顯示OK,發送失敗顯示ERROR。
??? 在PDU模式下:使用方法為AT+CMGS=
2? TC35i及GSM MODEM
??? 目前,國內常用的GSM模塊有Falcom的A2D系列、Wavecome的WM02系列、西門子的TC35系列、愛立信的DMIO/DM20系列、中興的ZXGM18系列等,這些模塊的功能及用法差別不大。本設計模塊選用西門子TC35系列的TC35i,GSM MODEM使用的核心模塊也是TC35i。
2.1? TC35i模塊主要特點
??? TC35i是德國西門子(SIEMENS)公司新推出的無線模塊,用來更新前期的TC35模塊。功能上與TC35兼容,設計緊湊,能大大縮小用戶產品的體積。TC35i與GSM2/2+兼容、雙頻(EGSM900/GSM1800)、RS232數據口、符合ETSI標準GSM07.07和GSM07.05,且易于升級為GPRS模塊;該模塊集射頻電路和基帶于一體,向用戶提供標準的AT命令接口,為數據、語音、短信和傳真提供快速、可靠、安全的傳輸,方便用戶的應用開發及設計[1]。
2.2?? TC35i模塊對外接口
??? TC35i通過40針的零插拔力插座ZIF(Zero Insertion Force)接口對外聯系。這40個引腳可以劃分為5類,即電源、數據輸入/輸出、SIM卡、音頻接口和控制。其中重點是數據輸入/輸出接口。
??? TC35i的數據輸入/輸出接口是一個串行異步收發器。它符合ITU-TRS232接口標準,有固定的參數:8 bit數據位和1 bit停止位,無校驗位,波特率在300 b/s~230 400 b/s之間,硬件握手信號用RTSO/CTSO,軟件流量控制用XON/OFF,CMOS電平,支持標準的AT命令集。
??? 由于TC35i的串行口采用CMOS電平(高電平2.65 V)。而PC機或者單片機的串口輸出的是RS232電平和TTL電平,因此需要進行電平轉換。
3? 基于TC35i的預警系統的設計實現
3.1? 監測點系統
??? 監測點系統用于短距離有限范圍內的管道監控,以便對管道盜竊進行報警??傮w思路如下:該系統采集到偷盜聲音信號后,通過放大、濾波、幅度比較判斷其是否為打鉆聲音,若同時采集到振動信號則向報警信息發送部分發送高電平脈沖。然后,報警信息發送部分通過可編程控制TC35i模塊發送內容為該監測點代碼的短信息至總控制臺及相關人員。
3.1.1 信號采集部分
??? 聲音信號采集部分,采用駐極體電容式傳聲器,其較靈敏,安裝在管道上可以收到1km以內人為的在管道上的電鉆聲音信號,并把聲信號轉變為電信號;振動信號采集部分采用ND-1振動傳感器,也可以檢測到1km以內的振動信號,并輸出直流電壓信號,經外部元件電流放大后,可驅動報警器或繼電器,并受內部定時器控制,具有報警一段時間后自動復位的功能。
3.1.2? 信號處理部分
??? 聲音信號處理部分,把從聲波傳感器輸出的電信號進行放大,根據先前對偷盜信號的分析是否檢測到高電平,可以了解管道鉆擊信號的頻率和幅度,則可將放大后的信號進行濾波及幅度比較,從而提取出偷盜信號。此外,應用時參數還需根據現場情況設定,以提高其準確度。為了將信號利用至報警信息發送部分,此輸出還需經過單穩態觸發電路。同時,振動部分的直流電壓信號也應用于此電路中。當同時有聲波信號和振動信號的兩個高電平時,信號處理部分才會輸出一高電平的脈沖。其系統框圖如圖1所示[2]。
?
?
3.1.3? 報警信息發送部分
??? 可編程控制TC35i模塊,當檢測到信號采集及處理部分輸出為高電平脈沖時,會發送一條內容為該監測點代碼的短信息至總控制臺。模塊框圖如圖2所示,核心代碼流程圖如圖3所示。
?
?
??? 硬件部分:利用串口的九針三線制,通過可編程來控制模塊的RXDO、TXDO,從而實現串口數據的收發。
??? 軟件程序設計部分:向模塊串行發送數據時,一次傳送10個位,其中包括l個起始位,8個數據位,最后是1個位的結束位,可以沒有校驗位。發送AT指令的核心代碼片段如下[3]:
??? library ieee;
??? use ieee.std_logic_1164.all;
??? use ieee.std_logic_arith.all;
??? use ieee.std_logic_unsigned.all;
??? entity time is
??? port(
???? …
???? );
??? end time;
??? architecture behav of time is
??? type states is(
????????? ??st0,st1,st2,st3,st4,st5,st6,st7,st8,st9,st10,—A
????????? ??st11,st12,st13,st14,st15,st16,st17,st18,st19,st20,?—T
????????? ??st21,st22,st23,st24,st25,st26,st27,st28,st29,st30,?—/r
????????????…
????????? ??);
??? …
??? Begin
??? …
??? tr:process(current_state,clk,d_in)
??????????? begin
????????????????????d_out<=d_min;
???????????????? ???case current_state is
????????????????????? ????when st0=>
???????????????????????????? ?????d_min<='1';
????????????????????????????? ????next_state<=st1;
?????????????????? ???when st1=>
??????????????????????????????????d_min<='0';
????????????????????????? ????next_state<=st2;
???????????????????? ???when st2=>
????????????????????????? ????d_min<='1';
????????????????????????? ????next_state<=st3;
?????????????????? ???when st3=>
????????????????????????? ????d_min<='0';
????????????????????????? ????next_state<=st4;
?????????????????? ???when st4=>
????????????????????????? ????d_min<='0';
????????????????????????? ????next_state<=st5;
?????????????????? ???when st5=>
????????????????????????? ????d_min<='0';
????????????????????????? ????next_state<=st6;
?????????????????? ???when st6=>
????????????????????????? ????d_min<='0';
????????????????????????? ????next_state<=st7;
?????????????????? ???when st7=>
????????????????????????? ????d_min<='0';
??????????????????????????? ????next_state<=st8;
?????????????????? ???when st8=>
????????????????????????? ????d_min<='1';
????????????????????????? ????next_state<=st9;
?????????????????? ???when st9=>
????????????????????????? ????d_min<='0';
????????????????????????? ????next_state<=st10;
??? …
3.2? 總控制站設計
??? 由GSM MODEM、計算機、交流電源等組成。總控制計算機通過軟件Anewed SMS對GSM MODEM接收到分站的報警信息進行記錄,保存各種報警數據和報警后的信息。其控制界面如圖4所示。
?
??? 本課題研究將可編程技術,串口通信技術及GSM技術相結合,結合傳感探測裝置組成的監控設備共同組成了一套防盜預警系統。具體地說,該系統實現了可編程與TC35i的串口通信,以及電腦控制與GSM MODEM收發短信息的功能。
??? 把GSM短信業務應用于防盜預警系統之中,充分利用了GSM 網覆蓋廣、抗干擾能力強、實現無線傳輸、費用較低的特點,是對現有的基于有線網絡的消防監控系統的有效補充。利用手機短信實現實時報警是實現無人值守的一種新技術支持。
參考文獻
[1]?Siemens. TC35i Siemens Cellular Engine. 2005.9.
[2]?童詩白.模擬電子技術基礎[M].北京: 高等教育出版社,2003.
[3]?王振紅.VHDL數字電路設計與應用實踐教程[M]. 北京: 機械工業出版社,2006.